封测什么意思,半导体行业深度报告之封测专题分析

qinzhiqiang 07-22 16:11 1,820次浏览

1、总论:行业回暖叠加国产替代,带来中期维度板块性机会

长电科技、通富微电、华天科技等三大封测厂合计全球市占率超过 20%,具备全球竞 争力。长期视角相对成熟,具备中期维度的产业投资机会。封测重资产属性强,产能利 用率是盈利的关键。在周期上行时,跨越平衡点后具有较高利润弹性,需求和产能的矛 盾也会导致局部涨价。

1.1、长电科技:管理改善叠加海思转单,共铸困境反转

管理改善、海思转单。原长电产能利用率修复,星科金朋大幅减亏,共铸困境反转。长 电科技 2019 年上半年受行业影响,产能利用率较低,2020 年有望提升,星科金朋大幅 减亏,且 A 客户 SiP 封装业务同比增长。

当前华为/海思重塑国产供应链背景下,国内代工、封测以及配套设备材料公司有望全 面受益,迎来发展机遇。长电科技作为封测代工龙头,目前从先进封装全面布局到产能 水平均位居全球前三位、国内第一位,从 2019 年下半年起享受海思转单红利,营收端率 先迎来拐点;

公司管理层持续改善,具有 IDM 龙头管理经验。公司加快星科金朋减亏、整体扭亏进 度,同时有望在未来带来更多 IDM 与公司协同;中芯国际、大基金入住,有息负债利率 有望借助优惠贷款下降,财务费用率有望显著改善。

长电科技在高端封装技术(如 Fan-out eWLB、WLCSP、SiP、BUMP、PoP 等)已与 国际先进同行并行发展,在国内处于领先水平,并实现大规模生产。5G 时代对于射频封 装 SiP 需求提升,AiP 封装模块已经正式用于 5G 手机,同时长电也具备面向手机 AP 与 PMIC 等封装的 Fan-out 工艺。长逻辑下,长电科技受益于与先进封装渗透的提升和价值 量的增加。

长电科技是国内封测龙头标的,享受海思转单叠加封测行业景气度提升的双重红利,公 司管理整合、财务降费,业绩弹性大。5G 时代,先进封装渗透及价值量均在提升,长电 SiP/AiP/FOLWP 等布局国内领先,率先受益。

1.2、通富微电:大客户逻辑强劲增长,长期布局存储、先进封装

大客户逻辑强劲增长,长期布局存储、先进封装。受益于 AMD、MTK、TI、ST 诸多大客 户厂商,迎来国内客户机会,收入端高速增长。中长期布局:厦门通富投资 70 亿(前期 只拿 10%股权),布局先进封装;合肥通富投资额 60 亿,围绕合肥长鑫布局。

Fabless 模式下,AMD 凭借代工厂先进制程赶超竞争对手的 IDM 模式。AMD 凭借台 积电先进代工工艺,在高端制程上反超 IDM 的竞争对手英特尔,消费级和服务器 CPU 均 有望进入快速增长期。对于数据中心客户,价格不是最关键的,运算性能更为重要,AMD 表现出与竞品至少同等竞争力,并且已经获得部分客户认可;对于企业级科技,价格竞 争更加重要一些,然而英特尔第十代 Cascade Lake-X 单核均价(price-per-core)已经相 对第九代砍半降价,尽管竞争相对激烈,AMD 份额依然有略增长趋势。

在行业景气 beta 上行基础上,通富微电受益于 AMD、MTK、国内客户等多重 alpha, 合肥厂存储布局有望开始贡献,厦门厂前瞻布局先进封装。同时,公司在收购苏州及槟 城厂的谨慎折旧政策,有望在 2021 年逐渐释放部分利润。

1.3、华天科技:卡位 CIS、存储、射频封测,业绩持续高增长

半导体封测产业景气拐点,TSV 细分赛道形成涨价热点。2019Q2 以来,封测行业景气 度持续提升,产能利用率恢复。受益于强劲的多摄像头渗透增长,千万像素以下 CIS 需 求提升,部分 CIS 设计厂、封测厂、8 寸晶圆厂链条出现供不应求情况,TSV 在 2019H2 呈现涨价的热点局面。昆山厂布局 TSV,需求旺盛,有望迎来量价齐升。昆山厂 2020 年 有望实现扭亏。

国内存储封测卡位布局,目标市场空间逐渐打开。长江存储第二阶段招标,产能从 2 万 片/月继续提升至 5 万片/月。公司与武汉新芯签署合作协议,在封测领域开展合作。公 司在 Nor Flash、3D Nand Flash、DRAM 技术上储备已久,是国内 Nor Flash 大厂的主要 封装厂之一。未来随着长存、长鑫放量,国内存储封测市场将逐渐打开。

Unisem 具备 5G 射频布局优势,景气提升。Unisem 的产品定位相对高端,且通讯、汽 车占比高,受益于 5G 带动的射频升级,Unisem 景气度持续修复。

固定资产构建是收入增长的基础,南京厂在 2020 年开始量产。重要投资项目先后推动 天水厂、西安厂进入快速增长期。在 2007~2011 年,公司主要投资项目位于天水华;在 2013~2017 年,2015 转债以及自有资金项目主要在华天西安落地,因此这阶段华天西 安成为增长的主要动力;未来新增产能主要位于南京厂。

公司卡位布局 CIS、存储、射频、汽车电子等上游领域封测,TSV-CIS 量价齐升,存储 国产替代放量在即,5G、新能源推动射频、汽车电子持续升级。我们预计在产能利用率 提升的基础上,公司盈利能力进一步修复。

1.4、晶方科技:量价齐升形成跨越式增长

半导体封测产业景气拐点,TSV 细分赛道形成涨价热点。2019Q2 以来,封测行业景气 度持续提升,产能利用率恢复。受益于强劲的多摄像头渗透增长,千万像素以下 CIS 需 求提升,部分 CIS 设计厂、封测厂、8 寸晶圆厂链条出现供不应求情况,TSV 在 2019H2 呈现涨价的热点局面。

伴随着多摄渗透率的提高,市场将会开启新的成像变革。手机领域是影像传感器最大的 应用领域。未来手机摄像头的需求依然强劲,其成长动力主要来自三摄、四摄对摄像头 数量的提升。

定增预案及时扩产以应对紧张的需求,有望进一步增强公司的综合竞争力。根据公司定 增预案,公司投入 14 亿元于 12 英寸 TSV 及异质集成智能传感器模块项目,达产后形成 年产 18 万片的生产能力。预计新增年均利润总额 1.6 亿元。

光学赛道上游优质标的,量价齐升推动跨越式成长。公司作为国内 TSV 封装龙头,行业 格局清晰,受益于 TSV 涨价,产能顺势扩张,有望迎来量价齐声。安防作为稳健的基本 盘,多摄驱动下适于 TSV 的 CIS 需求不断增长,车载逐渐迎来开花结果,TSV 龙头扬帆 起航。

2、封测:景气周期上行,海思转单持续催化

2.1、封测市场:国内封测市占率逐步提升,国产替代需求进一步加码

国内封测行业持续发展壮大,直接受半导体景气周期影响。国内晶圆代工厂仍处于追赶 过程,而封测行业已经跻身全球第一梯队,全球逻辑电路的景气程度会直接影响到国内 的封测厂商。封测行业直接受半导体景气回升影响,国内封测厂是最直接受益赛道之一。

封测行业整合,大陆外延内生持续增长。长电科技并购星科金朋、通富微电并购 AMD 苏 州/槟城厂、华天科技并购 Unisem。长电科技、通富微电、华天科技三大封测厂合计市 占率已从 2011 年的 4.5%上升到了 2018 年的 20.5%。

半导体行业深度报告之封测专题分析(100页)
半导体行业深度报告之封测专题分析(100页)

全球封装测试行业呈现强者恒强。根据 Yole 统计,2018 年全球 top25 封测厂商总体销 售额达 270 亿美元,几乎占据了整个 OSAT 市场(300 亿美元)。从地域上看,中国台湾 以 52%位居榜首,中国大陆第二(21%),第三为美国(15%),马来西亚(4%)、韩国 (3%)、新加坡(3%)和日本(2%)。 在 Top 8 中,中国大陆有 3 家,长电科技(Top3)、 通富微电(Top6)、华天科技(Top7)。中国封测厂商已在全球竞争中占据一席之地。

半导体行业深度报告之封测专题分析(100页)
半导体行业深度报告之封测专题分析(100页)

我们认为在当前华为/海思重塑国产供应链背景下,国内代工、封测以及配套设备材料公 司有望全面受益,迎来历史性发展机遇!海思全球封测需求空间较大,且保持较高增速。 目前海思在台湾封测为主,我们预计未来会逐渐向大陆转移。

半导体行业深度报告之封测专题分析(100页)

2.2、封测技术:更多 I/O、更轻薄化方向发展,先进封装增增速更高

随着半导体技术创新发展,高端封装产品如高速宽带网络芯片、多种数模混合芯片、专 用电路芯片等需求不断提升,封测行业持续进步。根据《中国半导体封装业的发展》,全 球封装结束经历五个发展阶段。当前,全球封装行业的主流处于以第三阶段的 CSP、BGA 为主要封装形式,并向第四、第五阶段的 SiP、SoC、TSV 等先进封装形式迈进。

国内封装技术水平与外资封测企业仍然存在差距。国内封装企业大多以第一、第二阶段 的封装技术为主,例如 DIP、SOP 等,产品定位中低端。随着我国封测技术的创新步伐 加快,QFN、BGA、WLP、SiP、TSV、3D 等先进集成电路封装形式逐渐进入批量化生产。 外资封装测试企业已经实现全球生产资源配置,多采用 BGA、CSP、MCM、MEMS、FC 等 封装形式,技术水平高于内资企业。

半导体行业深度报告之封测专题分析(100页)
半导体行业深度报告之封测专题分析(100页)

封装技术围绕更多 I/O 数、更轻薄化发展演进。如果按公司在配股说明书的分类方法, 以引线框架、基板类产品、晶圆级产品来划分,封装技术经历了从最初通过引线框架到 球栅阵列(BGA)、倒装(FC)、晶圆级封装(WLP),技术发展方向就是更多的 I/O、更 薄的厚度,以承载更多复杂的芯片功能和适应更轻薄的移动设备。WLP 又经历了从 Fanin(Fan-in WLP 一般称为 WLCSP)向 Fan-out(Fan-out WLP 一般简称为 FOWLP)的演 进,Fan-out 可实现在芯片范围外延伸 RDL 以容纳更多的 I/O 数。

半导体行业深度报告之封测专题分析(100页)

先进封装延续摩尔定律,市场规模持续增长。Yole 数据显示,尽管 2019 年半导体产业 将出现放缓,然而先进封装市场仍将保持增长趋势,同比增长约 6%。2024 年先进封装 市场规模将达 440 亿美元,2018~2024 CAGR 达 8%的成长,同一时期,Yole 预测传统 封装市场 CAGR 为 2.4%,IC 封装产业整体 CAGR 为 5%。

半导体行业深度报告之封测专题分析(100页)

随着智能驾驶、AIOT、数据中心及 5G 等市场的成熟,Yole 预计 2.5D/3D TSV 技术、FanOut 技术、ED 技术等先进封装技术的市场规模 CAGR 将保持高速增长,分别达 26%、 26%、49%。晶方科技目前主要技术集中在 WLSCP、TSV、FAN-OUT 等先进封装工艺、 LGA/MOUDLE 等芯片级封装工艺。

除传统 OSAT 企业,近年一些 IDM 和 Foundry 也在内部开始发展封测业务以提升自 身生产效率和自主服务能力。这些业务多集中在先进封装技术,使得这些厂商在封测行 业持续保持先进性和核心竞争力,如台积电的 SoIC(系统整合晶片封装)和 WoW(16 纳米晶圆堆叠晶圆)等 3D IC 封装技术,预期 2021 年进入量产。

半导体行业深度报告之封测专题分析(100页)

2.3、WLCPS:晶圆级封装逐渐成熟,TSV 平台工艺需求广阔

晶圆级芯片尺寸封装(WLCSP)是将芯片尺寸封装(CSP)和晶圆级封装(WLP)融合为 一体的先进封装技术。晶圆级芯片尺寸封装(WLCSP)结合 CSP 和 WLP 优点,先在整 片晶圆上进行封装测试,无需经过打线和填胶程序,封装后的芯片尺寸与裸芯片几乎一 致。因此,晶圆级芯片尺寸封装(WLCSP)的封装方式,不仅能明显缩小 IC 尺寸,符合 移动电子产品对高密度体积空间的需求,同时,由于芯片可以以最短的电路路径,通过 锡球直接与电路板连接,还能大幅度提升信息传输速度,有效降低杂讯干扰几率。与传 统封装技术QFP和BGA封装产品相比,晶圆级芯片尺寸封装的产品比QFP产品小75%、 重量轻 85%,比 BGA 尺寸小 50%、重量轻 40%。

半导体行业深度报告之封测专题分析(100页)

传统封装方式是先将晶圆划片成颗粒芯片,经测试为合格芯片后,将其放到引线框架或 封装衬底(基板)上,而后再进行封装测试,产业链涉及晶圆厂、基板厂、封装厂、测 试厂。而晶圆级芯片尺寸封装是先对晶圆进行封装、测试作业,然后再对封装测试后的 晶圆进行切割。① WLCSP 封装能将传统封装的产业链中的基板厂、封装厂、测试厂整 合为一体,使得芯片的生产环节大幅减少,生产周期大大缩短,进而提高生产效率,降 低生产成本;② WLCSP 封装能减少封装前合格芯片的测试环节,并且省去诸多材料, 进而有效降低封装成本;③ WLCSP 封装是晶圆制造技术的延伸,极大地缩小了半导体 后段(即封装)与前段(即晶圆制造)的技术差异,容易实现半导体后段与前段的技术 对接。④ WLCSP 封装是可把 IC 设计、晶圆制造、封装测试、基板厂整合为一体的先进 封装形式,优化了产业链,解决专业代工模式在 IC 设计、晶圆制造、封装测试、基板厂 等各环节的技术与标准对接问题,更加推动了专业代工模式的发展。

晶圆级芯片尺寸封装是在整片晶圆上进行封装后再切割成芯片,而传统封装是将晶圆先 切割成芯片后,再对芯片实施单独的封装。通常,WLCSP 的封装成本是按照晶圆数计量 的,与切割后的芯片数无必然联系,而传统封装的封装成本是按封装芯片的个数计量的。 因此,WLCSP 的封装成本随晶圆尺寸的增大和芯片数量增加而降低。在消费类电子产品 轻、小、短、薄化的市场发展趋势下,晶圆级芯片尺寸封装的成本优势愈加明显,将逐 步挤占传统封装的市场份额。

WLCSP 封装是硅通孔技术的基础,两者工艺十分相似,通过掌握 WLCSP 封装技术利于 快速进入硅通孔技术领域,在未来 3D 封装技术中扮演主要角色。硅通孔 TSV(ThroughSilicon Via)技术是通过芯片与芯片间、晶圆与晶圆间制作垂直导通,实现芯片之间互连 的先进封装工艺。与其他 3D 封装工艺不同,TSV 能使芯片在三维堆叠的密度最大、外 观尺寸最小,大大改善芯片速度和低功耗的性能,被誉为引线键合(Wre Bonding)、 TAB 和倒装芯片(FC)之后的第四代封装技术。

半导体行业深度报告之封测专题分析(100页)

伴随 TSV 技术的成熟以及对高性能计算、5G、人工智能等新兴领域的巨大需求牵动下, 3D TSV 封装技术对应市场空间广阔。根据 Yole 预测,堆叠技术市场空间将由 2018 年 的 17.58 亿美元,增长至 2023 年的 57.49 亿美元,2018-2023 CAGR 高达 27%。

对应下游应用领域,尽管消费类市场仍为主流,其市场份额超过 65%,但催发 3D TSV 等堆叠技术的真正驱动力则是高性能计算(HPC),Yole 预计,2023 年其市场规模将 达 23.24 亿美元,市场份额将从 20%(2018 年)提升至 40%(2023 年),是未来 4 年成长最快的需求领域,2018-2023 CAGR 高达 46%。就封装营收而言,这相当于 2018 年营收的 6 倍以上增长。

半导体行业深度报告之封测专题分析(100页)

TSV 经过多年发展,已进入 MEMS 多数应用领域。目前,2.5D/3D 堆叠技术已成为满足 AI 和数据中心等应用性能需求的唯一解决方案。堆叠技术已被应用于高、中、低端市场 的各种硬件,包括 3D 堆叠存储、图形处理单元(GPU)、现场可编程门阵列(FPGA)和 CMOS 图像传感器(CIS)等。

半导体行业深度报告之封测专题分析(100页)

2.4、SiP:集成化封装及 5G 射频要求提升,SiP 需求大增

5G 对于封装需求要求提升,器件封装微小化、复杂化、集成化。5G 时代采用高频的毫 米波段对应更小尺寸的射频元件,其封装复杂度大幅提升,对封装过程中的连线、垫盘 和通孔等结构精密度要求更高,避免妨碍到芯片上的射频功能。5G 时代,由于越来越多 的频段需求,在射频前端模组化趋势下,RF 封装呈现集成化,SiP 解决方案会得到更加 广泛的应用

集成化方案尺寸小、响应快、性能好,2018 年占比射频元件比重超过 50%。手机轻薄 化不断提升,以及射频元件数量的增加,因而在有限的内部空间,射频前端呈现了集成 化的趋势。集成化除了在减少尺寸之外,还具有节省客户调试时间,缩减手机研发周期 和提供更好的半导体性能两大优点。未来射频前端集成化占比会越来越高,根据 Qorvo 数据,在 2017 年已经达到了 50%,2018 年则成为最主要方案。

半导体行业深度报告之封测专题分析(100页)

材料的多样性要求先进封装技术,SiP 将脱颖而出。随着移动通讯技术的升级,射频芯 片采用的工艺也越来越复杂,对 PA 而言最好的工艺是 GaAs,对天线开关而言最好的工 艺是 SOI,滤波器则是采用压电材料。SOC 方案难以集成这些不同材料;系统性封装 SiP 才能满足这些要求。因而 5G 时代的射频前端集成化,将采用先进封装技术。根据 Yole 预测,移动端 RF SiP 市场规模将由 2018 年的 33 亿美金增长到 2023 年的 53 亿美金。 射频前端的 SiP 封装将进入一个快速增长期。其中,集成 PA、Filter、Swtich 的 PAMid 增 长最快,在射频前端模组中的比重从 23%增长到 39%。

半导体行业深度报告之封测专题分析(100页)
半导体行业深度报告之封测专题分析(100页)

SiP 封装工艺,是以一定的工序,在封装基板上,实现阻容感、芯片等器件的组装互连, 并把芯片包封保护起来的加工过程。封装流程可以直接影响芯片的散热、电性、机械性 能等表现,决定了整个系统的性能、尺寸、稳定性和成本,在工艺上也需要从系统互联、 保护和散热等角度进行整体设计,SIP 将一些芯片中段流程技术带入后段制程,将原本 各自独立的封装元件改成以 SiP 技术整体整合,有效缩小封装体积以节省空间,同时缩 短元件间的连接线路而使电阻降低,提升电性效果,最终实现微小封装体取代大片电路 载板,有效地缩小了产品的体积,顺应了产品轻薄化的趋势。

半导体行业深度报告之封测专题分析(100页)

苹果推动了 SiP 模组的加速渗透并不断提升整体性能。在 iPhone 6s 手机中,苹果就已 在内部模组中采用了 apple watch1 中 S1 采用的系统级封装技术,为新加入的线性马达 营造空间。继 SiP 封装技术被引入触控芯片模组、指纹识别 IC、3D Touch 模组和多颗 RFPA 颗粒后, iPhone7 在 wifi 模组也采用了 SiP 封装。同时 SiP 模组加速渗透也为 iPhone 整体性能提升带来切实帮助,由于 SiP 封装相较传统封装有空间利用率优势,使 得 iPhone7 在配备升级尺寸规格的 Taptic Engine 后,还能将电池容量从 2650mAh 提升 到 2900mAh。

半导体行业深度报告之封测专题分析(100页)

根据 TechInsights 的拆解分析,Apple Watch Series 3 和 Apple Watch Series 4 都采用了 SIP 的设计,Apple Watch 中封装了十几款主要芯片和几十款离散式组件,持续挑战系统 级封装(SiP)设计的极限。TechInsights 在 Apple Watch Series 3 中发现了高通 MDM9635M——Snapdragon X7 LTE 调制解调器,高通 PMD9645 电源管理芯片(PMIC) 和一个 WTR3925 RF 收发器,Apple/Dialog PMIC、Avago AFEM-8069 前端模块,以及Skyworks SKY 78198 功率放大器等重要的零组件。

半导体行业深度报告之封测专题分析(100页)

2.5、AiP:从 SiP 到 AiP,单机价值量进一步提升

AiP(Antennas in Package)即基于将天线与射频前端模块集成在系统级封装中的封装 工艺。AiP 技术很好地兼顾了天线性能、成本及体积,我们通过三星 S10 5G 的拆机可以 发现,AiP 封装模块已经正式用于 5G 手机,在基于高通方案的 5G 手机中,一共采用 了三个基于 AiP 封装的高通 QTM052 模块,单机封装价值量进一步提升!

半导体行业深度报告之封测专题分析(100页)

高通从 2018 年 8 月起陆续发布 QTM052 与 QTM525 毫米波模块,通过 AiP 封装将 收发器、PMIC、PA 与天线整合在一起,达到缩小手机厚度与减少 PCB 面积,取代传 统天线与射频模块的分散式设计。相比 AoC(片上天线,antenna on chip), AiP 采用了 低损耗衬底代替硅,能够实现 2-4 倍的增益效果。

2.6、FOWLP:封装技术持续升级,FOWLP 保持高速增长

扇出型晶圆级封装的英文全称为 Fan-Out Wafer Level Packaging,即 FOWLP,是指将来 自于异质制程的多颗晶粒结合到一个紧凑封装中的新方法。由于对更薄功能和增加 I / O 数量设备的需求,扇出式 WLP 受到越来越多的关注。随着 FOWLP 技术不断发展,从单 芯片应用拓展至 MCP(多芯片封装)及 3D PoP(堆叠式封装)等,应用于更高 I/O 芯片 的整合中。

半导体行业深度报告之封测专题分析(100页)

FOWLP 充分利用 RDL 做连接,实现互连密度最大化。传统的 WLP 封装多采用 Fan-in 型态,应用于低接脚(Pin)数的 IC。当芯片面积缩小的同时,芯片可容纳的引脚数减 少,因此变化衍生出扩散型 FOWLP 封装形态,实现在芯片范围外充分利用 RDL 做连接, 以此获取更多的引脚数。在一个环氧行化合物(EMC)中嵌入每个裸片时,每个裸片间 的空隙有一个额外的 I/O 连接点,这样 I/O 数会更高并且的对硅利用率也有所提高,使 互连密度最大化,同时实现高带宽数据传输。

FOWLP 降低封装成本,减少封装厚度。相比于扇入型封装技术,FOWLP 的优势在于: 减小了封装厚度、扩展能力(用于增加 I / O 数量)、改进的电气性能、良好的热性能以 及无基板工艺。扇出 WLP 在结构上类似于传统的球栅阵列(BGA)封装,但是消除了昂 贵的衬底工艺。

半导体行业深度报告之封测专题分析(100页)

FOWLP被广泛应用,市场规模保持高速增长。FOWLP 封装最早在2009~2010年由Intel提出,仅用于手机基带芯片封装。一直到 2015 年以前, FOWLP 市场较小且主要应用于 基带、RF、PMU 等单芯片扇出封装。2016 年,台积电将 InFO 技术应用于 iPhone 的 AP 芯片,实现高密度扇出封装,并逐渐应用于智能手机、HPC、通讯等各种领域,市场空间 在 2016~2017 年爆发。根据 yole 最新预测,FOWLP 市场规模将在 2019~2024 年的复 合增长为 19%,2024 年市场空间将达到 38 亿美元。日月光和台积电一样,在 2016 年 实现 FOWLP 量产,安靠、矽品、力成在 2017 年紧接着布局。

半导体行业深度报告之封测专题分析(100页)

3、半导体:三大驱动力推动全球周期强势复苏

在 2019 年全球电子行业整体进入了周期向上的通道之中,而其中的原因我们认为主要 是三大驱动力:消费电子、通信、以及数据中心,三大板块同时共振复苏所带来的。

从数据中心回暖看到了英特尔 CPU 的恢复,SK 海力士 Dram 业务的复苏;TWS 耳机在 2019 年热卖后台积电流片排单不断上修,同时 NOR Flash 供给吃紧,预计 2020 年 Q1 价格有可能继续上涨;预期消费电子中占比最大的手机板块伴随着5G的逐步建设成型, 对于未来手机内 Dram 的高增长将会是未来整个存储行业的,乃至于半导体行业的另一 大重要催化。

我们认为需求端被贸易摩擦、宏观经济下行影响所推迟和压抑之后,本轮“芯”拐点重 要特点将是需求的复苏比以往更加强劲,数据中心、移动端、AIOT、汽车电子将持续会 有新的爆点。

3.1、从产业数据看全球周期复苏

2019 年全球集成电路的单月产量也印证了产业趋势以及全球周期在逐步上升,且自 19Q3 的 7 月份开始,集成电路的单月产量已经摆脱了前期的萎靡之态,实现了从 7 月 份开始的 14%、23%、26%、28%、22%的逐月同比高增长。再看到逐月的全球半导体 销售金额的占比情况来看,中国地区的销售额占比也是稳中略增长。

半导体行业深度报告之封测专题分析(100页)

同样根据美国半导体产业协会的统计,在 2019 年全球的半导体单月销售额也进入了连 续 6 月环比下降后的恢复期。而同时我们认为 5G 基站在 2020 年得以初步广泛铺设的大 环境之下,消费电子,尤其是智能手机将迎来多季度下滑后的新增长,从而进一步带动 半导体行业的周期性复苏。

半导体行业深度报告之封测专题分析(100页)

从行业数据再到半导体厂商数据,我们选取了中国台湾 IC 设计公司以及 IC 制造公司的 月度经营数据,均可以看到随着全球周期的复苏,行业趋势的向上,无论是设计还是制 造均创造了过往三年内新高,再次印证目前半导体产业周期复苏景气向上的产业趋势

半导体行业深度报告之封测专题分析(100页)

同样对应台积电的情况,在 2019 年中,随着消费电子中 TWS 耳机火爆市场后,我们跟 踪到台积电对于消费电子端,特别是智能手机业务的影响后,流片量逐月上调,而对应 到我们在 19Q3 初对于台积电业绩的上修也被再次印证。看到目前台积电在 12 月份的经 营数据,12 月份台积电实现营收 1033 亿新台币,同比增长 15%;台积电 Q4 季度的合 并营收达到了 3172.37 亿新台币,环比增长了 8.26%,同比增长了 9.48%,创造了单季 营收新纪录,超出华尔街一致预期。

半导体行业深度报告之封测专题分析(100页)

台积电 Q4 符合预期,Q1 营收、毛利率超预期。台积电 Q4 收入 103.9 亿美元,环比 +8%,毛利率 50.2%超此前指引上限;EPS NT$4.5,+15%QoQ/+16% YoY。收入、毛 利率、EPS 均高于市场预期和公司之前指引。1Q20 收入指引 102~103 亿美元,环比1.7%/同比+45%YoY,超出市场乐观预期。同时 1Q20 产能利用率环比指引继续提升。

半导体行业深度报告之封测专题分析(100页)
半导体行业深度报告之封测专题分析(100页)
半导体行业深度报告之封测专题分析(100页)
半导体行业深度报告之封测专题分析(100页)

资本开支继续上调,看好后续景气度。先进制程持续升级,资本开支继续提高。6nm 预 计 2020 年底量产,5nm 预计 2020 年 3 月可以开始量产。台积电 2018/2019 年资本开 支 105、149 亿美元,2020 年资本开支预计 150~160 亿美元(此前预期 130~140 亿美 元)。未来如果 3nm 技术顺利推进,资本开支将不会下降。

半导体行业深度报告之封测专题分析(100页)

我们复盘台积电二十年成长,每一轮资本开支大幅上调后均有 2~3 年的显著高增长。 复盘台积电二十年历史,基本上每十年出现一次资本开支连续大幅上调,之前分别是 99~01、09~10 年。并且,每次资本开支大幅上调后的三年,营收复合增速会显著超过 其他年份。以 09~10 年为例,资本开支从 27 亿美元提升至 64 亿美元,跃升式提升,此 后保持于高位,相应着制程上在 11 年推出经典的 28nm 产品。本轮 7nm/7nm EUV 同样 是重要的制程节点,面向 5G/IoT/AI 等应用爆发,台积电资本开支再度进入跃迁式提升, 从 2018 年的 105 亿美元提升至 2019 年 149 亿美元,2020 年还将继续提升。

短期需求爆发叠加科技大周期,半导体产业向上启动。产业周期 V 形反转,需求端被贸 易摩擦、宏观经济下行影响所推迟和压抑之后,本轮“芯”拐点重要特点将是需求的复 苏比以往更加强劲,数据中心、移动端、AIOT、汽车电子将持续会有新的爆点。历史上 经历数轮科技大周期,本轮大周期有望正式启动。过去 70 年,科技创新与需求驱动双轨 并行,每十年有一轮科技创新,每轮经历硬件、媒介、商业模式的变更。

半导体行业深度报告之封测专题分析(100页)

2020 年继续领先行业增速。半导体行业拐点到来,增速回暖,库存健康,稼动率提升。 2020 年半导体行业(ex-Memory)增速预计 8%,晶圆代工增速预计 17%,台积电预计 2020 年同比+20%继续领先行业增速成长。台积电库存位于健康水位。7nm、12/16nm 需求强劲,5G、HPC、IoT 有望推动先进制程增长,预计 2020 年 5G 手机渗透率约 15% 左右。28nm 整体产能充足。8 寸晶圆由于指纹、PMIC、Driver IC 的需求,产能较为紧 张。

3.2、国产化持续推进,全产业景气向上

伴随着电子板块,尤其是半导体产业的全球周期的复苏,中国的国产化也同步在全力推 进,而对应的国产芯片也迎来了发展机遇。

目前中美形势在 2019 年中美贸易局势忽冷忽热,美国晶圆产能部分外迁,同时全球半 导体龙头资本开支放缓。而中国电子产业链国产化进度加速提升,而对应的国产产能稼 动率已经达到了一个新高点。从中芯国际在 19Q2 和 19Q3 的稼动率分别来看为 91%和 97%,另外国内封测厂稼动率全线提升。中美贸易局势的不确定加上国产化的全力推进, 我们认为在目前整个半导体板块的中期供给端的失衡下,中国半导体产业将会实现顺利 切入,进一步加快国产化进度。

中国半导体供应链长期市值空间探讨:东方半导体产业链生态重塑,与以往不同,建立 完整、独立自主核心技术的半导体工业体系是大势所趋,半导体大国、强国崛起之路, 独立自主的核心技术才是王道,科技红利之有效研发投入,才是建立独立自主核心技术 体系的唯一手段。根据 Wind 数据显示,中国半导体进口额占全球半导体销售额 65%, 巨大国内市场内需、终端厂商能力、摩尔定律放缓推动国内公司进入良性快速发展,随 着科技红利的迭加,市场份额的切入,相比海外巨头 500 亿美金、千亿美金市值,中国 公司第一步在市场纵深领域出现一批千亿级别公司是大概率事件。

半导体行业深度报告之封测专题分析(100页)

继设计板块表现靓丽之后,“芯”制造即将大象起舞。半导体国产替代在今年迈向了业绩 落地,弹性最高的设计板块已经纷纷交出靓丽的报表,国产化驱动力正从设计向制造环 节逐渐延伸。全球半导体“芯”拐点、中期供需拐点明确,华为引领国产半导体全面突 破,预计将是设计、制造、封测的全方面共振。

半导体行业景气提升,资产端的价值也将增加。晶圆厂、封测厂的产能利用率打满,订 单交期拉长时,行业有可能呈现半导体产能资源紧张的局面。国产替代浪潮下,国内制 造、封测产能利用率快速修复。中芯国际 19Q3 财报超预期,产能利用率快速提升, 14nm 正式量产;合肥长鑫逐步提高 19nm DRAM 芯片的月产能,8Gb DDR 通过多个大客户认 证,预计年底交付;国内封测厂 19Q3 产能利用率普遍快速提升,长电绍兴项目火速落 地。

中国本土客户的需求迅猛增长推动产能利用率提升。19Q3 来自国内客户收入比重为 60.5%,同比增加 3 个百分点,环比增加 3.6 个百分点。19Q3 来自于国内客户的收入环 比增长 10%, 国产替代需求强劲,物联网、智慧家居、消费电子等诸多领域需求旺盛, 本土客户大量订单的导入,使得晶圆制造和封测环节产能利用率在 Q2~Q3 快速提升。

3.3、资产端进入供给紧张,设备投资额即将反转

继设计板块表现靓丽之后,“芯”制造即将大象起舞。半导体国产替代在今年迈向了业绩 落地,弹性最高的设计板块已经纷纷交出靓丽的报表,国产化驱动力正从设计向制造环 节逐渐延伸。全球半导体“芯”拐点、中期供需拐点明确,华为引领国产半导体全面突 破,预计将是设计、制造、封测的全方面共振。

半导体行业景气提升,资产端的价值也将增加。晶圆厂、封测厂的产能利用率打满,订 单交期拉长时,行业有可能呈现半导体产能资源紧张的局面。国产替代浪潮下,国内制 造、封测产能利用率快速修复。中芯国际 19Q3 财报超预期,产能利用率快速提升,14nm 正式量产;合肥长鑫逐步提高 19nm DRAM 芯片的月产能,8Gb DDR 通过多个大客户认 证,预计年底交付;国内封测厂 19Q3 产能利用率普遍快速提升,长电绍兴项目火速落 地。

全球半导体设备投资下滑边际拐点已出现,边际跌幅收窄。2019 年前三季度全球半导体 设备销售额分别为 138/133/149 亿美元,同比-19%/-20%/-6%。

半导体行业深度报告之封测专题分析(100页)

北美半导体设备销售额进入拐点。北美半导体设备制造商出货金额 21.2 亿美元,创近 15 个月新高。北美设备制造商销售额连续 2 个月增长,主要因晶圆代工业者增加先进制 程设备投资,且存储器库存恢复较健康水位,业者逐步恢复投资动能

半导体行业深度报告之封测专题分析(100页)

设备投资进入上行趋势,明年回暖,后年创新新高。根据 SEMI 预测,2019 年全球半导 体设备销售金额为 576 亿美元,同比下滑 10.5%, 2020 年有望逐渐回暖,增速为 5.5%; 2021 年再创立新高,达到 688 亿美元。

根据 IC Insights,2020 年全球将有 10 座新的 12 寸晶圆厂进入量产阶段,全球晶圆产 能将新增 1790 万片 8 寸约当晶圆,2021 年新增产能将创历史新高达 2080 万片 8 寸约 当晶圆。新增产能主要来自于韩国大厂三星及 SK 海力士,以及长江储存、武汉新芯、华 虹宏力等我国大陆半导体厂。

半导体行业深度报告之封测专题分析(100页)
半导体行业深度报告之封测专题分析(100页)

台积电资本开支继续上调,看好后续景气度。先进制程持续升级,资本开支继续提高。 台积电 2018/2019 年资本开支 105、149 亿美元,2020 年资本开支预计 150~160 亿美 元(此前预期 130~140 亿美元)。未来如果 3nm 技术顺利推进,资本开支将不会下降。

半导体行业深度报告之封测专题分析(100页)

中国大陆半导体设备市场在全球比重中逐步提高。根据 SEMI,大陆设备市场在 2013 年 之前占全球比重为 10%以内,2014~2017 年提升至 10~20%,2018 年之后保持在 20% 以上,份额保持上行趋势。根据 SEMI,大陆设备市场需求有望反转,2019 年前三季度 中国市场半导体设备销售额分别 23.6/33.6/34.4 亿美元,同比-11%/-11%/-14%。预期 后续随着国内晶圆厂投建、半导体行业加大投入,需求会重返景气。

半导体行业深度报告之封测专题分析(100页)
半导体行业深度报告之封测专题分析(100页)

国内厂商在全部环节所需设备领域均有所布局。虽然目前国内半导体设备仍较为依赖进 口,但从产业布局角度来看,国内厂商布局极为完善,几乎覆盖半导体生产制造过程中 每个环节所需的所有主要设备。拉晶、光刻、沉积、刻蚀、清洗、检测、封装等各个环 节均有多家国内厂商布局覆盖。

中芯国际、长江存储、合肥长鑫等国内厂商投资扩张,国内设备市场需求增加。中芯国 际受益华为订单转移、行业景气度爆棚扩产,长江存储产能从 20K 扩到 50K,新一轮招 标结果持续出炉,国产设备比例提升。合肥长鑫一期项目向 40K 扩产中,后续有望加大 国产设备扶持力度。中微、北方华创在刻蚀、金属退化、炉管类设备等领域实现部分突 破,部分设备等 0 到 1 订单落地。国产设备、材料链有望迎来历史性发展机遇。

国内设备厂商布局逐步落地,实现替代潜力大。中微公司产品、服务、技术实力强,在 刻蚀领域性价比优于海外竞争对手,未来具备横向拓展潜力。北方华创产品线分布广, 在 PVD、刻蚀、炉管、清洗等多领域处于国产设备突破领先前沿。精测电子武汉精鸿、 Wintest、上海精测三大布局,武汉精鸿已经在长存获得重复订单,有望成为国内半导体 设备新星。长川科技从模拟检测切向数字检测、探针台,国产替代需求下研发投入迅速 增加,产品升级加快。

  • 暂无推荐